新闻中心

以下新思科技新闻稿按照时间顺序排列。请使用下方工具凭年份、分类和关键词搜索新闻稿。为了其他搜索选项,请使用高级搜索。

高级搜索
  • 7月01日, 2019年
    新套件提供检查、报告和封装ASIC设计所需的基础框架

    领先的无晶圆ASIC和IP提供商智原科技(Faraday)采用了新思科技SpyGlass® Design Handoff套件。智原科技部署了SpyGlass Design Handoff套件,在开始ASIC设计服务和生产之前确保ASIC设计能满足设计质量要求。该套件提供移交给设计服务团队之前检查、报告和设计封装所需的基础框架。SpyGlass Design Handoff套件还将整合执行智原科技IP和片上系统(SoC)设计资格要求所需的软件和方法。

  • 6月27日, 2019年
    高质量DesignWare接口和模拟IP经过优化,可在人工智能、云计算和移动芯片中实现高性能和低功耗

    新思科技与GLOBALFOUNDRIES合作,针对GF的12纳米领先性能(12LP) FinFET工艺技术,开发覆盖面广泛的DesignWare® IP组合,包括多协议25G、USB 3.0和2.0、PCI Express® 2.0、DDR4、LPDDR4/4X、MIPI D-PHY、SD-eMMC和ADC/DAC转换器。新思科技基于GF 12LP工艺的DesignWare IP使设计人员能够借助GF的12LP技术,在其人工智能(AI)、云计算、移动和消费片上系统(SoC)中实现最新的接口和模拟IP解决方案。

  • 6月26日, 2019年
    持续致力于开发高质量的DesignWare IP,降低芯片设计风险并加快上市时间

    新思科技的IP质量管理体系(QMS)满足ISO 9001:2015认证所需的实施、文件和程序,确保其IP开发过程持续保持高质量,认证适用于新思科技全球所有DesignWare逻辑库、嵌入式存储器、接口、处理器和安全IP产品开发场所,新思科技的DesignWare IP质量管理体系执行IATF 16949标准的适用条款,以支持其他严格的汽车质量要求。

  • 6月25日, 2019年
    VC Formal数据通路验证应用支持HECTOR技术广泛的市场采用

    重点: VC Formal数据通路验证应用基于形式化方法学,在C/C++算法和RTL设计实现之间的一致性检查方面比传统技术提高了100多倍。...

  • 6月24日, 2019年
    新思科技设计和验证平台以及DesignWare接口IP使PPA得到优化,并使智能手机、笔记本电脑和其他移动设备更快地进入市场。

    重点: 新思科技Fusion Design Platform为Arm处理器提供了优化的PPA,促进了更快的设计实现。新思科技解决方案支持使用了Arm最新处理器的智能手机、笔记本电脑、其他移动设备、5G、增强现实(AR)和机器学习(ML)产品的优化设计,该解决方案包括新思科技Fusion Design Platform™、Verification Continuum™平台和DesignWare®接口IP。此外,新思科技Cortex-A77和Cortex-A55 QuickStart设计实现套件(QIK)也已上市,适用于7nm工艺技术,采用了Arm Artisan®物理IP和POP™ IP,来加速上市时间,实现最佳功耗、性能和面积(PPA)。

  • 6月18日, 2019年
    业界首个完全在Amazon Web Services云上完成的全芯片实现和验证

    业界首个完全在Amazon Web Services云上完成的全芯片实现和验证 加州山景城2019年6月18日 /美通社/ -- 新思科技(Synopsys,...

  • 6月13日, 2019年
    突破性技术利用行业金牌时序Signoff和机器学习技术加速统计良率分析,重新定义设计Signoff

    重点:获得专利的全芯片级参数化设计良率分析提供准确的统计良率,比蒙特卡罗静态时序分析的性能快1000多倍; 特有的设计稳健性分析和优化,可在投片前识别和修复良率热点; 创新、智能的路径仿真,具有真正的 HSPICE 精度,比传统蒙特卡罗仿真速度快100至1000倍

  • 6月12日, 2019年
    瞻博网络新一代网络设计的功耗降低了14%,面积减少了6%

    瞻博网络新一代网络设计的功耗降低了14%,面积减少了6% 加州山景城2019年6月12日 /美通社/ -- 重点 采用先进融合技术的IC Compiler...

  • 6月11日, 2019年
    采用融合技术的人工智能增强型云就绪平台可加快新一波工业创新

    三星使用64位Arm Cortex-A53和Cortex-A57处理器设计对新思科技Fusion Design Platform完成了5LPE工艺技术认证
    Fusion Design Platform重新定义了传统的设计工具边界,提供更好的全流程设计实现质量以及缩短设计收敛、得到结果的时间,现在用于三星代工厂(Samsung Foundry)的先进5LPE工艺

  • 6月06日, 2019年
    工具间新的原生集成实现高五倍的验证性能

    Verdi的智能加载技术(通过VCS Unified Compile实现)带来快五倍的设计加载和追踪速度; VC验证IP通过VCS统一约束求解器技术实现高两倍的仿真性能;VC Formal测试平台分析器应用和Certitude的原生集成实现快10倍的测试平台质量评估和断言; 全新VC加速验证IP,加上VCS和ZeBu的原生集成,可将仿真性能提高10至100倍.

每页显示 5102550100