新闻中心

以下新思科技新闻稿按照时间顺序排列。请使用下方工具凭年份、分类和关键词搜索新闻稿。为了其他搜索选项,请使用高级搜索。

高级搜索
  • 3月27日, 2019年
    降低7nm设计的功耗、提高性能并缩短上市时间

    加快部署7nm Fusion Design Platform,在具有挑战性的设计方面,不仅设计实现质量提升了20%,设计收敛速度也提高了两倍多。Fusion Design Platform重新定义了传统的设计工具界限,将最佳逻辑综合和布局布线、行业金牌signoff与新一代可测性设计技术进行整合,提供最可预测的7nm全流程收敛方案,最大程度上减少了迭代次数

  • 3月26日, 2019年
    突破性Explorer DRC、Live DRC和融合技术大幅提高生产力,带来无与伦比的优势

    行业领先的扩展能力,可扩展至2000多个核心,数小时内实现全芯片物理signoff。
    Explorer DRC创新技术在SoC集成期间将DRC速度加快5倍。
    IC Validator NXT物理signoff技术已被多个客户部署到云端,确保按进度完成流片。
    搭载IC Compiler II的融合技术与结合Custom Compiler的Live DRC助物理signoff加速完成。

  • 3月23日, 2019年
    Fusion Compiler继续获得市场领先半导体公司的认可

    Fusion Compiler部署在瑞萨电子包括高端SoC和任务关键型微控制器IC设计的高端汽车组合中。
    独特的全流程共用数据模型,加上统一的优化架构,提供同类最佳的时序和功耗设计实现质量(QoR)和最佳设计面积。
    无人可比的容量和吞吐量可实现具有数百万例化单元的设计,带来最高的设计效率和最快的上市时间

  • 3月22日, 2019年
    针对USB内置覆盖率、验证计划、协议层调试和源码测试套件的原生态SystemVerilog VIP

    新思科技(Synopsys, Inc.,纳斯达克股票市场代码:SNPS)近日宣布推出业界首个子系统验证解决方案验证IP(VIP)和UVM源代码测试套件,以支持最新的USB4规范。USB4包括使用现有USB Type-C™连接器的双通道操作,该连接器可以通过新的认证电缆传输高达40Gbps数据。USB4还支持Thunderbolt™ 3,并扩展了USB功能以包括新的显示功能。

  • 3月20日, 2019年
    新思科技DesignWare基础、模拟和接口IP组合可加快ADAS、动力传动、5G和雷达汽车SoC的ISO 26262认证

    面向GLOBALFOUNDRIES 22FDX®工艺汽车1级和2级温度操作的新思科技DesignWare IP包括逻辑库、嵌入式存储器、数据转换器、LPDDR4、PCI Express 3.1、USB 2.0/3.1和MIPI D-PHY IP。
    新思科技IP解决方案针对22FDX工艺执行更多汽车级设计规则,满足可靠性和15年汽车操作要求。
    新思科技支持AEC-Q100温度级和ISO 26262 ASIL Readiness的IP可加快SoC可靠性和功能安全评估。

每页显示 5102550100