新闻中心

以下新思科技新闻稿按照时间顺序排列。请使用下方工具凭年份、分类和关键词搜索新闻稿。为了其他搜索选项,请使用高级搜索。

高级搜索
  • 8月29日, 2019年
    该报告由数据安全中心Ponemon Institute进行独立调查超过一半的金融服务机构由于不安全的软件导致客户数据被盗

    新思科技近期发布了《金融服务业软件安全状况》报告。数据安全中心Ponemon Institute对金融服务行业当前的软件安全实践进行了独立调查。报告重点呈现了金融服务行业的安全现状及解决安全相关问题的能力。调查显示超过一半的受访机构曾由于不安全的金融服务软件和技术而导致客户敏感信息被盗或系统故障及停机。此外,许多机构难以管理其供应链的网络安全风险,且无法在软件发布前正确评估其安全漏洞。

  • 8月09日, 2019年
    扩展汽车虚拟解决方案,加速车辆系统和软件开发

    新思科技(Synopsys, Inc., 纳斯达克股票代码:SNPS)近日宣布收购总部设在德国的汽车软件和系统开发仿真、测试工具和相关服务的领先企业QTronic,这项收购完成后将扩大新思科技的汽车解决方案产品组合,满足汽车一级供应商和OEM公司的需求,并增加一支经验丰富的工程师团队,加快技术开发和用户部署。

  • 8月08日, 2019年
    集成新思科技ZeBu虚拟测试系统解决方案与Ixia IxVerify,对复杂的网络芯片进行可扩展、灵活且准确的验证

    新思科技与是德科技Ixia共同宣布达成战略合作,利用先进仿真技术和虚拟测试系统,在复杂的网络芯片验证上实现范式转变。双方合作将电路内系统验证转变为可扩展、灵活且精确的虚拟系统验证。新思科技ZeBu虚拟测试系统解决方案支持ZeBu仿真系统和Ixia IxVerify虚拟网络测试工具之间的全功能集成。

  • 8月07日, 2019年
    新思科技的综合良率学习平台加速了三星及其无晶圆厂用户的新品量产

    新思科技在三星先进FinFET技术节点上部署新思科技Yield Explorer®良率学习平台,用于加速新产品的量产。使用Yield Explorer中的安全数据交换机制,三星能够与用户共享用于良率分析的数据,如芯片设计、晶圆厂和测试的数据,同时维护各方专有信息的机密性。

  • 8月06日, 2019年
    Realtek为其新一代通信网络设计部署IC Compiler II

    最新版IC Compiler II通过新一代分布式并行、智能场景管理、高效基础设施扩展和固有核心引擎算法,提供快2倍的吞吐量。
    创新型功耗降低技术,包括预测总功耗优化、电压降驱动优化和动态电压驱动的时钟调度,使总功耗降低10%。
    新一代基于Arc的统一CCD优化、增强的寄存器流水线、拓扑互连规划和物理感知逻辑再综合可带来5%的面积和时序改进。

  • 7月29日, 2019年
    IC Validator为DRC和LVS Signoff提供卓越性能

    MPW(多项目晶元)领先供应商MOSIS已选择新思科技IC Validator工具进行物理验证。IC Validator功能齐全的物理验证解决方案,辅助以高度可扩展的引擎,助力MOSIS 大大提高物理验证速度。MOSIS在FinFET工艺技术设计中为全芯片设计规则检查(DRC)和版图对照原理图(LVS)signoff部署了IC Validator。

  • 7月10日, 2019年
    持续履行社会责任,应对全球气候变化

    新思科技全球业务获得2019 CarbonNeutral®认证,减少约100000吨二氧化碳排放量。为实现碳排放平衡,新思科技正采取行动减少排放,展示企业在领导和支持全球向低碳经济转型中的重要作用。

  • 7月02日, 2019年
    面向第三代产品的合作基于为AURIX TC2x和TC3x系列成功部署VDK的经验,让一级供应商与原始设备制造商能够提前开发软件

    新思科技Virtualizer开发工具包支持在 芯片上市之前18个月就进行软件开发,以及将测试从物理环境转移至虚拟环境
    新思科技与英飞凌的合作侧重于面向汽车用户建模、软件开发和交付VDK
    面向英飞凌AURIX TC4x微控制器的VDK预计将于2020年第一季度交付

  • 7月01日, 2019年
    新套件提供检查、报告和封装ASIC设计所需的基础框架

    领先的无晶圆ASIC和IP提供商智原科技(Faraday)采用了新思科技SpyGlass® Design Handoff套件。智原科技部署了SpyGlass Design Handoff套件,在开始ASIC设计服务和生产之前确保ASIC设计能满足设计质量要求。该套件提供移交给设计服务团队之前检查、报告和设计封装所需的基础框架。SpyGlass Design Handoff套件还将整合执行智原科技IP和片上系统(SoC)设计资格要求所需的软件和方法。

  • 6月27日, 2019年
    高质量DesignWare接口和模拟IP经过优化,可在人工智能、云计算和移动芯片中实现高性能和低功耗

    新思科技与GLOBALFOUNDRIES合作,针对GF的12纳米领先性能(12LP) FinFET工艺技术,开发覆盖面广泛的DesignWare® IP组合,包括多协议25G、USB 3.0和2.0、PCI Express® 2.0、DDR4、LPDDR4/4X、MIPI D-PHY、SD-eMMC和ADC/DAC转换器。新思科技基于GF 12LP工艺的DesignWare IP使设计人员能够借助GF的12LP技术,在其人工智能(AI)、云计算、移动和消费片上系统(SoC)中实现最新的接口和模拟IP解决方案。

  • 6月26日, 2019年
    持续致力于开发高质量的DesignWare IP,降低芯片设计风险并加快上市时间

    新思科技的IP质量管理体系(QMS)满足ISO 9001:2015认证所需的实施、文件和程序,确保其IP开发过程持续保持高质量,认证适用于新思科技全球所有DesignWare逻辑库、嵌入式存储器、接口、处理器和安全IP产品开发场所,新思科技的DesignWare IP质量管理体系执行IATF 16949标准的适用条款,以支持其他严格的汽车质量要求。

  • 6月25日, 2019年
    VC Formal数据通路验证应用支持HECTOR技术广泛的市场采用

    重点: VC Formal数据通路验证应用基于形式化方法学,在C/C++算法和RTL设计实现之间的一致性检查方面比传统技术提高了100多倍。...

  • 6月24日, 2019年
    新思科技设计和验证平台以及DesignWare接口IP使PPA得到优化,并使智能手机、笔记本电脑和其他移动设备更快地进入市场。

    重点: 新思科技Fusion Design Platform为Arm处理器提供了优化的PPA,促进了更快的设计实现。新思科技解决方案支持使用了Arm最新处理器的智能手机、笔记本电脑、其他移动设备、5G、增强现实(AR)和机器学习(ML)产品的优化设计,该解决方案包括新思科技Fusion Design Platform™、Verification Continuum™平台和DesignWare®接口IP。此外,新思科技Cortex-A77和Cortex-A55 QuickStart设计实现套件(QIK)也已上市,适用于7nm工艺技术,采用了Arm Artisan®物理IP和POP™ IP,来加速上市时间,实现最佳功耗、性能和面积(PPA)。

  • 6月18日, 2019年
    业界首个完全在Amazon Web Services云上完成的全芯片实现和验证

    业界首个完全在Amazon Web Services云上完成的全芯片实现和验证 加州山景城2019年6月18日 /美通社/ -- 新思科技(Synopsys,...

  • 6月13日, 2019年
    突破性技术利用行业金牌时序Signoff和机器学习技术加速统计良率分析,重新定义设计Signoff

    重点:获得专利的全芯片级参数化设计良率分析提供准确的统计良率,比蒙特卡罗静态时序分析的性能快1000多倍; 特有的设计稳健性分析和优化,可在投片前识别和修复良率热点; 创新、智能的路径仿真,具有真正的 HSPICE 精度,比传统蒙特卡罗仿真速度快100至1000倍

  • 6月12日, 2019年
    瞻博网络新一代网络设计的功耗降低了14%,面积减少了6%

    瞻博网络新一代网络设计的功耗降低了14%,面积减少了6% 加州山景城2019年6月12日 /美通社/ -- 重点 采用先进融合技术的IC Compiler...

  • 6月11日, 2019年
    采用融合技术的人工智能增强型云就绪平台可加快新一波工业创新

    三星使用64位Arm Cortex-A53和Cortex-A57处理器设计对新思科技Fusion Design Platform完成了5LPE工艺技术认证
    Fusion Design Platform重新定义了传统的设计工具边界,提供更好的全流程设计实现质量以及缩短设计收敛、得到结果的时间,现在用于三星代工厂(Samsung Foundry)的先进5LPE工艺

  • 6月06日, 2019年
    工具间新的原生集成实现高五倍的验证性能

    Verdi的智能加载技术(通过VCS Unified Compile实现)带来快五倍的设计加载和追踪速度; VC验证IP通过VCS统一约束求解器技术实现高两倍的仿真性能;VC Formal测试平台分析器应用和Certitude的原生集成实现快10倍的测试平台质量评估和断言; 全新VC加速验证IP,加上VCS和ZeBu的原生集成,可将仿真性能提高10至100倍.

  • 6月03日, 2019年
    新推出的ZeBu Power Analyzer可对十亿周期软件工作负载进行基于硬件加速仿真的功耗分析,这是传统方法无法做到的

    ZeBu Power Analyzer扩展了ZeBu Server 4硬件加速仿真系统,扩充了支持RTL和门级流程的新型多线程功耗分析引擎;在ZeBu Server 4上对十亿周期活动进行剖析,以迅速识别关键功耗时间窗口;在数小时内针对数百万周期窗口得出准确的平均功耗和周期功耗分析结果,而基于软件仿真的方法则需要数月时间

  • 5月30日, 2019年
    通过实现从物理测试过渡到虚拟系统测试来缩短汽车开发周期

    合作支持OEM和一级供应商实现虚拟环境的快速部署
    使用新思科技VDK使EB能够在硅上市前12个月搬移其AUTOSAR操作系统
    开发基于NXP S32汽车处理平台的概念虚拟ECU,可以演示更快的交互式开发和回归测试
    解决方案已经在领先的汽车公司中部署

  • 5月27日, 2019年
    具有安全增强封装的ASIL D Ready视觉处理器为汽车芯片提供高水平的功能安全

    新思科技具有安全增强封装(SEP)的DesignWare®ARC® EV6x视觉处理器被嵌入式视觉联盟(Embedded Vision Alliance)评为“年度最佳处理器”

  • 5月22日, 2019年
    新思科技ARC EV6x视觉处理器IP和KudanSLAM软件相结合,为人工智能,汽车和物联网应用提供高效精确的机器视觉技术

    新思科技ARC EV6x视觉处理器IP和KudanSLAM软件相结合,为人工智能,汽车和物联网应用提供高效精确的机器视觉技术。
    KudanSLAM软件算法针对新思科技DesignWare ARC EV6x嵌入式视觉处理器IP进行优化,为人工智能、汽车和物联网应用提供高效精确的计算机视觉技术;DesignWare EV6x嵌入式视觉处理器包含多达四个512位矢量DSP和一个完全可编程的卷积神经网络(CNN)引擎,可为各种高性能嵌入式视觉应用提供最大吞吐量;KudanSLAM的软件算法以高速、低功耗和高精度的方式执行同步定位与建图。

  • 5月14日, 2019年
    加快Arm新一代客户端和基础设施核心的设计实现,增强的QuickStart设计实现套件支持Fusion Compiler加快实现并提高Arm片上系统的PPA

    使用新思科技Fusion Design Platform,Arm最新Cortex-A76和Neoverse N1处理器的早期采用者成功实现片上系统流片。新思科技QuickStart设计实现套件增强,使用Fusion Compiler为包括新一代Arm处理器在内的关键核心提供最佳PPA。

  • 5月14日, 2019年
    通过对超过1,200个商业应用程序和库进行研究,发现大多数仍然包含开源安全漏洞和许可证冲突

    美国新思科技公司 (Synopsys, Nasdaq: SNPS)近日发布了《2019年开源安全和风险分析》(OSSRA)报告。该报告由新思科技网络安全研究中心(CyRC)制作,审查了由黑鸭审计服务团队执行的超过1,200个商业应用程序和库的审计结果。报告重点介绍了开源应用的趋势和模式,以及不安全的开源组件和许可证冲突的普遍性。

  • 5月09日, 2019年
    VESA DSC IP与DesignWare HDMI 2.1、DisplayPort和MIPI DSI IP可互操作,最小化集成风险,加快上市时间

    DesignWare VESA DSC IP符合VESA DSC 1.1和1.2a标准,提供高达10K分辨率所需的120Hz刷新率。
    可配置IP可扩展到16个并行切片,为沉浸式观看体验提供高性能数据传输。
    新的VESA DSC编码器和解码器IP解决方案降低视频和图像接口的数据速率,降低了功率,从而延长电池寿命。

每页显示 5102550100